library ieee; use ieee.std_logic_1164.all; entity Mult16 is port (A, B: in std_logic_vector (7 downto 0); Y: out std_logic_vector (15 downto 0)); end Mult16; architecture Comp of Mult16 is begin Y<= A * B; end Comp;